Model { Name "DigitalCommSystem_Fading" Version 7.0 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.332" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on SampleTimeColors off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off Created "Mon Aug 06 12:30:24 2007" Creator "u4243008" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "sdurrani" ModifiedDateFormat "%" LastModifiedDate "Thu Nov 06 13:57:52 2008" RTWModifiedTimeStamp 0 ModelVersionFormat "1.%" ConfigurationManager "None" SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.3.0" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Version "1.3.0" StartTime "0.0" StopTime "Inf" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" Solver "VariableStepDiscrete" SolverName "VariableStepDiscrete" ZeroCrossControl "UseLocalSettings" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" RateTranMode "Deterministic" } Simulink.DataIOCC { $ObjectID 3 Version "1.3.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on InspectSignalLogs off SaveTime on StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Array { Type "Cell" Dimension 5 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "InitFltsAndDblsToZero" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } Version "1.3.0" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnforceIntegerDowncast on ExpressionFolding on ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off OptimizeModelRefInitCode off LifeSpan "inf" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off } Simulink.DebuggingCC { $ObjectID 5 Version "1.3.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" StrictBusMsg "Warning" LoggingUnavailableSignals "error" } Simulink.HardwareCC { $ObjectID 6 Version "1.3.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.3.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 1 Cell "IncludeHyperlinkInReport" PropName "DisabledProps" } Version "1.3.0" SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off RTWCompilerOptimization "Off" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Array { Type "Cell" Dimension 16 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" PropName "DisabledProps" } Version "1.3.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 15 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" PropName "DisabledProps" } Version "1.3.0" TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Arial" FontSize 12 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType ComplexToRealImag Output "Real and imag" SampleTime "-1" } Block { BlockType DataTypeConversion OutMin "[]" OutMax "[]" OutDataTypeMode "Inherit via back propagation" OutDataType "fixdt(1,16,0)" OutScaling "[]" LockScale off ConvertRealWorld "Real World Value (RWV)" RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Display Format "short" Decimation "10" Floating off SampleTime "-1" } Block { BlockType Ground } Block { BlockType Inport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off Interpolate on } Block { BlockType Math Operator "exp" OutputSignalType "auto" SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeMode "Same as first input" OutDataType "fixdt(1,16,0)" OutScaling "[]" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on } Block { BlockType "M-S-Function" FunctionName "mlfile" DisplayMFileStacktrace on } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Product Inputs "2" Multiplication "Element-wise(.*)" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeMode "Same as first input" OutDataType "fixdt(1,16,0)" OutScaling "[]" LockScale off RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SampleTime "0" FixptAsFi off } Block { BlockType Terminator } Block { BlockType Concatenate NumInputs "2" ConcatenateDimension "1" } Block { BlockType FrameConversion OutFrame "Frame based" } Block { BlockType Reshape OutputDimensionality "1-D array" OutputDimensions "[1,1]" } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeMode "Inherit from 'Constant value'" OutDataType "fixdt(1,16,0)" ConRadixGroup "Use specified scaling" OutScaling "[]" SampleTime "inf" FramePeriod "inf" } Block { BlockType RelationalOperator Operator ">=" InputSameDT on LogicOutDataTypeMode "Logical (see Configuration Parameters: Optimization)" LogicDataType "uint(8)" ZeroCross on SampleTime "-1" } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Arial" FontSize 12 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Arial" FontSize 12 FontWeight "normal" FontAngle "normal" } System { Name "DigitalCommSystem_Fading" Location [107, 229, 1184, 909] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "[0.945098, 0.909804, 0.819608]" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" Block { BlockType Reference Name "AWGN\nChannel" Ports [1, 1] Position [802, 355, 888, 410] Orientation "down" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" SourceBlock "commchan3/AWGN\nChannel" SourceType "AWGN Channel" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" seed "71" noiseMode "Signal to noise ratio (Eb/No)" EbNodB "5" EsNodB "10" SNRdB "EbNo+3+10*log10(log2(M))" bitsPerSym "1" Ps "1" Tsym "1e-6" variance "1" } Block { BlockType Reference Name "BER\nCalculation" Ports [2, 1] Position [175, 442, 285, 498] Orientation "left" BackgroundColor "[0.752941, 0.752941, 0.752941]" NamePlacement "alternate" SourceBlock "commsink2/Error Rate\nCalculation" SourceType "Error Rate Calculation" N "45" st_delay "0" cp_mode "Entire frame" subframe "[]" PMode "Port" WsName "ErrorVec" RsMode2 off stop off numErr "1000" maxBits "1e10" } Block { BlockType Display Name "BER Details" Ports [1] Position [30, 437, 125, 503] Orientation "left" BackgroundColor "[0.752941, 0.752941, 0.752941]" NamePlacement "alternate" Decimation "1" Lockdown off } Block { BlockType Reference Name "BPSK\nModulator\nBaseband" Ports [1, 1] Position [595, 136, 670, 184] BackgroundColor "[0.501961, 1.000000, 1.000000]" SourceBlock "commdigbbndpm3/BPSK\nModulator\nBaseband" SourceType "BPSK Modulator Baseband" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" Ph "pi" outDtype "Inherit via back propagation" outWordLen "16" outUDDataType "sfix(16)" outFracLenMode "Best precision" outFracLen "15" } Block { BlockType Reference Name "Bernoulli Binary\nGenerator" Ports [0, 1] Position [165, 138, 245, 182] BackgroundColor "[0.501961, 1.000000, 1.000000]" DialogController "commDDGCreate" DialogControllerArgs "DataTag0" SourceBlock "commrandsrc2/Bernoulli Binary\nGenerator" SourceType "Bernoulli Binary Generator" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" P "0.5" seed "61" Ts "1e-6" frameBased on sampPerFrame "10000" orient off outDataType "int8" } Block { BlockType SubSystem Name "Coherent BPSK Fading Receiver" Ports [2, 1] Position [585, 464, 685, 506] Orientation "left" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" MinAlgLoopOccurrences off RTWSystemCode "Auto" FunctionWithSeparateData off System { Name "Coherent BPSK Fading Receiver" Location [166, 324, 664, 624] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Rx Signal" Position [425, 188, 455, 202] Orientation "left" NamePlacement "alternate" IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "Gains" Position [425, 238, 455, 252] Orientation "left" NamePlacement "alternate" Port "2" IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Reference Name "BPSK\nDemodulator\nBaseband" Ports [1, 1] Position [65, 180, 140, 230] Orientation "left" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" DialogController "commDDGCreate" DialogControllerArgs "DataTag1" SourceBlock "commdigbbndpm3/BPSK\nDemodulator\nBaseband" SourceType "BPSK Demodulator Baseband" Ph "pi" DecType "Hard decision" VarSource "Dialog" Variance "1" outDtype "int8" derotateFactorDTMode "Same word length as input" derotateFactorWordLen "16" } Block { BlockType Math Name "Math\nFunction" Ports [1, 1] Position [330, 230, 360, 260] Orientation "left" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" Operator "conj" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Product Name "Product" Ports [2, 1] Position [215, 187, 245, 218] Orientation "left" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" CollapseMode "All dimensions" InputSameDT off OutDataTypeMode "Inherit via internal rule" OutDataType "sfix(16)" OutScaling "2^0" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "Output" Position [15, 198, 45, 212] Orientation "left" NamePlacement "alternate" IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock "Product" SrcPort 1 DstBlock "BPSK\nDemodulator\nBaseband" DstPort 1 } Line { SrcBlock "Math\nFunction" SrcPort 1 Points [-65, 0] DstBlock "Product" DstPort 2 } Line { SrcBlock "BPSK\nDemodulator\nBaseband" SrcPort 1 DstBlock "Output" DstPort 1 } Line { SrcBlock "Gains" SrcPort 1 DstBlock "Math\nFunction" DstPort 1 } Line { SrcBlock "Rx Signal" SrcPort 1 DstBlock "Product" DstPort 1 } } } Block { BlockType Reference Name "Convolutional\nEncoder" Ports [1, 1] Position [355, 132, 445, 188] BackgroundColor "[0.501961, 1.000000, 1.000000]" SourceBlock "commcnvcod2/Convolutional\nEncoder" SourceType "Convolutional Encoder" trellis "poly2trellis(9,[753 561])" opMode "Continuous" usePuncVector off punctureVector "[1; 1; 0; 1; 0; 1]" reset "Unused parameter value" } Block { BlockType Reference Name "Discrete-Time\nScatter Plot\nScope" Tag "ScatterPlot" Ports [1] Position [760, 44, 835, 96] BackgroundColor "[1.000000, 0.501961, 0.000000]" SourceBlock "commsink2/Discrete-Time\nScatter Plot\nScope" SourceType "Discrete-Time Scatter Plot Scope" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" sampPerSymb "1" offsetEye "0" numTraces "100" numNewFrames "10" LineMarkers "." LineColors "b" fading on render on AxisGrid on xMin "-2" xMax "2" yMin "-2" yMax "2" inphaseLabel "In-phase Amplitude" quadratureLabel "Quadrature Amplitude" openScopeAtSimStart off FrameNumber off FigPos "get(0,'defaultfigureposition');" figTitle "Scatter Plot" numLinesMax "8" block_type_ "scatter" } Block { BlockType Reference Name "Discrete-Time\nScatter Plot\nScope1" Tag "ScatterPlot" Ports [1] Position [785, 574, 860, 626] BackgroundColor "[1.000000, 0.501961, 0.000000]" SourceBlock "commsink2/Discrete-Time\nScatter Plot\nScope" SourceType "Discrete-Time Scatter Plot Scope" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" sampPerSymb "1" offsetEye "0" numTraces "100" numNewFrames "10" LineMarkers "." LineColors "b" fading on render on AxisGrid on xMin "-3" xMax "3" yMin "-3" yMax "3" inphaseLabel "In-phase Amplitude" quadratureLabel "Quadrature Amplitude" openScopeAtSimStart off FrameNumber off FigPos "get(0,'defaultfigureposition');" figTitle "Scatter Plot" numLinesMax "8" block_type_ "scatter" } Block { BlockType Reference Name "Matrix\nDeinterleaver" Ports [1, 1] Position [465, 458, 545, 512] Orientation "left" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" SourceBlock "commblkintrlv2/Matrix\nDeinterleaver" SourceType "Matrix Deinterleaver" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" Nrows "200" Ncols "100" } Block { BlockType Reference Name "Matrix\nInterleaver" Ports [1, 1] Position [475, 133, 555, 187] BackgroundColor "[0.501961, 1.000000, 1.000000]" SourceBlock "commblkintrlv2/Matrix\nInterleaver" SourceType "Matrix Interleaver" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" Nrows "200" Ncols "100" } Block { BlockType Reference Name "Multipath Rayleigh\nFading Channel" Ports [1, 2] Position [861, 210, 919, 305] Orientation "down" BackgroundColor "[0.501961, 1.000000, 1.000000]" NamePlacement "alternate" SourceBlock "commchan3/Multipath Rayleigh\nFading Channel" SourceType "Multipath Rayleigh Fading Channel" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" maxDopplerShift "300" pathDelays "[0]" avgPathGaindB "[0]" normalizePathGains on seed "73" enableProbe "0" openVisAtStart on outPathGains on outDelay off } Block { BlockType ToWorkspace Name "To Workspace" Position [50, 375, 110, 405] Orientation "left" BackgroundColor "[1.000000, 0.501961, 0.000000]" NamePlacement "alternate" VariableName "grayBER" MaxDataPoints "1" SampleTime "-1" SaveFormat "Array" } Block { BlockType Reference Name "Viterbi Decoder" Ports [1, 1] Position [350, 457, 440, 513] Orientation "left" BackgroundColor "[0.501961, 1.000000, 1.000000]" DialogController "commDDGCreate" DialogControllerArgs "DataTag2" SourceBlock "commcnvcod2/Viterbi Decoder" SourceType "Viterbi Decoder" trellis "poly2trellis(9,[753 561])" isPunctured off punctureVector "[1; 1; 0; 1; 0; 1]" erasures off dectype "Hard decision" runSigValErrCheck off nsdecb "4" smWordLength "16" tbdepth "45" opmode "Continuous" reset off outDataType "int8" } Line { SrcBlock "Bernoulli Binary\nGenerator" SrcPort 1 Points [105, 0] Branch { Points [-35, 0; 0, 295] DstBlock "BER\nCalculation" DstPort 1 } Branch { DstBlock "Convolutional\nEncoder" DstPort 1 } } Line { SrcBlock "BER\nCalculation" SrcPort 1 Points [-10, 0] Branch { DstBlock "BER Details" DstPort 1 } Branch { Points [0, -80] DstBlock "To Workspace" DstPort 1 } } Line { SrcBlock "Coherent BPSK Fading Receiver" SrcPort 1 DstBlock "Matrix\nDeinterleaver" DstPort 1 } Line { SrcBlock "AWGN\nChannel" SrcPort 1 Points [0, 60; -90, 0] Branch { Points [0, 125] DstBlock "Discrete-Time\nScatter Plot\nScope1" DstPort 1 } Branch { DstBlock "Coherent BPSK Fading Receiver" DstPort 1 } } Line { SrcBlock "Multipath Rayleigh\nFading Channel" SrcPort 1 Points [0, 10; -30, 0] DstBlock "AWGN\nChannel" DstPort 1 } Line { SrcBlock "Multipath Rayleigh\nFading Channel" SrcPort 2 Points [0, 185] DstBlock "Coherent BPSK Fading Receiver" DstPort 2 } Line { SrcBlock "Viterbi Decoder" SrcPort 1 DstBlock "BER\nCalculation" DstPort 2 } Line { SrcBlock "Convolutional\nEncoder" SrcPort 1 DstBlock "Matrix\nInterleaver" DstPort 1 } Line { SrcBlock "Matrix\nInterleaver" SrcPort 1 DstBlock "BPSK\nModulator\nBaseband" DstPort 1 } Line { SrcBlock "Matrix\nDeinterleaver" SrcPort 1 DstBlock "Viterbi Decoder" DstPort 1 } Line { SrcBlock "BPSK\nModulator\nBaseband" SrcPort 1 Points [65, 0] Branch { Points [150, 0] DstBlock "Multipath Rayleigh\nFading Channel" DstPort 1 } Branch { Points [0, -90] DstBlock "Discrete-Time\nScatter Plot\nScope" DstPort 1 } } Annotation { Name "DIGITAL COMMUNICATIONS SYSTEM" Position [480, 53] ForegroundColor "blue" DropShadow on FontSize 20 FontWeight "bold" } Annotation { Name "\n\n\n\n\n\n\nTRANSMITTER " Position [676, 174] HorizontalAlignment "right" ForegroundColor "[0.305882, 0.305882, 0.305882]" DropShadow on FontSize 14 FontWeight "bold" } Annotation { Name "\n\n\n\n\n\n\n RECEIVER " Position [721, 489] HorizontalAlignment "right" ForegroundColor "[0.305882, 0.305882, 0.305882]" DropShadow on FontSize 14 FontWeight "bold" } Annotation { Name "\n\n\n\n\n\n\n\n\n\n\n\n\n\n\nCHANNEL " Position [931, 314] HorizontalAlignment "right" ForegroundColor "[0.305882, 0.305882, 0.305882]" DropShadow on FontSize 14 FontWeight "bold" } } } MatData { NumRecords 3 DataRecord { Tag DataTag2 Data " %)30 . < 8 ( 0 % \" $ ! 0 . 0 8 ( ! % \" $ . 0 0 #@ %9I=&5R8FE$96-O9&5R " } DataRecord { Tag DataTag1 Data " %)30 . < 8 ( 0 % \" $ ! 0 . 0 8 ( ! % \" $ / 0 0 #P $)04TM$96UO9'5L871O<@ " } DataRecord { Tag DataTag0 Data " %)30 . > 8 ( 0 % \" $ ! 0 . 2 8 ( ! % \" $ 2 0 0 $@ $)E4=E;@ " } } # Finite State Machines # # Stateflow Version 6.7 (R2007b) dated Aug 7 2007, 16:48:14 # # Stateflow { machine { id 1 name "DigitalCommSystem_Fading" created "05-Oct-2007 10:40:45" isLibrary 0 firstTarget 2 sfVersion 67014000.000001 } target { id 2 name "sfun" description "Default Simulink S-Function Target." machine 1 linkNode [1 0 0] } }